Tag Archives: RAM

Inferring true dual-port, dual-clock RAMs in Xilinx and Altera FPGAs

Yes, it’s actually possible! – in Verilog and VHDL, even. I’m a big fan of inference, especially as it applies to writing synthesizable Verilog code for FPGAs. Properly coded, a module that infers technology-dependent blocks (e.g. block RAMs) should: be … Continue reading

Posted in FPGAs, Technical | Tagged , , , , , | 20 Comments